A apresentação está carregando. Por favor, espere

A apresentação está carregando. Por favor, espere

FLI – ModelSim Pedro Velho.

Apresentações semelhantes


Apresentação em tema: "FLI – ModelSim Pedro Velho."— Transcrição da apresentação:

1 FLI – ModelSim Pedro Velho

2 FLI - ModelSim Motivações Módulos descritos em alto-nível
Simulação por scripts Teste de hardware Co-simulação

3 FLI – ModelSim Wrapper para teste do hardware Hardware a ser testado

4 FLI - ModelSim Características: Call backs
Interface direta com o simulador Programa objeto somente substitui o comportamento do módulo, a entity deve ser escrita em VHDL

5 FLI - ModelSim Exemplo:
Modulo de entrada em C, lê do arquivo e gera estimulos Modulo somador em VHDL recebe dois inteiros de 8 bits, e escreve a soma em sua saída Modulo de saída em C, lê a soma efetuada pelo somador e escreve em um arquivo

6 FLI – ModelSim Test Bench somador FILE C Input Somador VHDL FILE
C output

7 FLI – ModelSim Arquitetura exemplo: VHDL (4 arquivos) C (2 arquivos)

8 FLI – ModelSim Arquivos VHDL: Somador de 8 bits Módulo input
Módulo output TB

9 FLI – ModelSim Somador de 8 bits -- -- created by Pedro Velho
library ieee; use ieee.std_logic_1164.all; use IEEE.Std_Logic_unsigned.all; entity adder is port( a: in std_logic_vector(7 downto 0); b: in std_logic_vector(7 downto 0); sum: out std_logic_vector(7 downto 0)); end adder; architecture adder of adder is begin sum <= a + b;

10 FLI - ModelSim Modulo input: -- written by Pedro Velho -- 26/08/2002
-- A simple foreign archtecture library ieee; use ieee.std_logic_1164.all; entity c_input_module is port( clk : in std_logic; rst : in std_logic; a: out std_logic_vector(7 downto 0); b: out std_logic_vector(7 downto 0)); end c_input_module; architecture c_input_module of c_input_module is attribute foreign: string; attribute foreign of c_input_module: architecture is "c_input_module_init c_input_module.so"; begin

11 FLI - ModelSim Modulo output: -- written by Pedro Velho -- 26/08/2002
-- A simple foreign archtecture library ieee; use ieee.std_logic_1164.all; entity c_output_module is port( clk : in std_logic; rst : in std_logic; result: in std_logic_vector(7 downto 0)); end c_output_module; architecture c_output_module of c_output_module is attribute foreign: string; attribute foreign of c_output_module: architecture is "c_output_module_init c_output_module.so"; begin

12 FLI - ModelSim Fontes em C: Compilando: Arquivos utilizados: Makefile
Bibliotecas: mti.h, conv_mvl9.h Arquivo objeto da biblioteca: conv_mvl9.o

13 FLI - ModelSim C modulo input Inicialização Processo
Criação de sinais e processos Lista de sensitividade Leitura de arquivo Processo Converção de tipos (nativos do simulador -> characteres lógicos)

14 FLI – ModelSim C modulo output Inicialização Detalhe Criação processo
Definição da lista de sensitividade Criação de pinos e sinais de entrada e saída Detalhe Call back deve fechar o arquivo(fclose)

15 FLI – ModelSim Referência Manual de referência FLI
Tutorial de FLI do gaph:


Carregar ppt "FLI – ModelSim Pedro Velho."

Apresentações semelhantes


Anúncios Google