ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias.

Slides:



Advertisements
Apresentações semelhantes
UNIDADE 1: INTRODUÇÃO ÀS TECNOLOGIAS DA INFORMAÇÃO E COMUNICAÇÃO
Advertisements

Arquitetura e organização de computadores
Projecto de Hardware com FPGAs
abs access after alias all and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto.
Array aggregates type columns is range 1 to 4; type row is array (columns) of std_logic; variable r1 : row := ('1', '0', '1', '1'); variable r2 : row :=
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
Ney Laert Vilar Calazans
Prof. Wanderley Cardoso Celeste
VHDL AULA - 2.
MEMÓRIAS Função Classificação Acesso Volatibilidade
Introdução a circuitos lógicos
Dicas de Circuitos MO801/MC912.
VHDL (outros tópicos) MO801/MC912.
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
FLI – ModelSim Pedro Velho.
Library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all;
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Fundamentos da Arquitetura de Computadores
Projeto de Somador com e sem Sinal
Arquitetura de Computadores
Cálculo da Freqüência de Operação do Relógio
Máquina de Estados Finito
Memórias RAM, ROM, PROM, EEPROM, FLASH
Introdução à Programação
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos.
Exercícios Referentes à Prova P2
Circuitos Seqüenciais Descrição VHDL
Memórias Digitais Memórias:
Memórias Digitais Departamento de Engenharia Elétrica – UFRJ
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Circuitos Seqüenciais Contadores
Comunicações do Bloco de Controle para Bloco de Dados e Memória
Projeto de um Comparador Descrição Estrutural x Comportamental
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Descrição de Atrasos.
Test-bench para Somador
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Projeto de Somador com e sem Sinal Descrição.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Computador Cleópatra Arquitetura e Programação.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Exercícios Referentes à Prova P1
Diagramas de Blocos e Descrição VHDL
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
Computador Cleópatra Programação Assembly e Alto Nível
Agenda - Aula 03 Introdução (Computador Digital) Memória
Arquitetura de Computadores
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Organização e Arquitetura de Computadores
Circuitos Combinacionais Básicos Descrição VHDL
Tipos De Memória.
Memorias.
Arquiteturas Von Neumann e Harvard Computador Cleópatra
INFORMÀTICA E COMUNICAÇÃO Memórias e Dispositivos de Entrada e Saída
Bruno Iran Ferreira Maciel
Escola Secundária Sebastião da Gama
Introdução à Linguagem VHDL
São dispositivos onde os dados e programas são armazenados.
REDE DE COMPUTADORES Arquitetura de Computadores Prof. Bruno Viana
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
IMM Instalação e Manutenção de Microcomputadores Pedro Amaro –
Memória Principal Anotações de Aula
Memórias Faculdade de Ciências Aplicadas e Sociais de Petrolina - FACAPE Ciência da Computação Professora: Cynara Carvalho
Bruno Iran Ferreira Maciel
Microcontroladores Serviço Nacional de Aprendizagem Industrial Departamento Regional de Pernambuco Faculdade de Tecnologia SENAI Pernambuco - Unidade.
Introdução a Ciência da Computação Aula 08 Prof. Francisco G. Rodrigues.
Disciplina: Circuitos Digitais
Transcrição da apresentação:

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias

2 / 17 Introdução –Memórias são circuitos que armazenam informação –São classificadas em memórias de leitura e escrita ou apenas de leitura, conforme características tecnológicas –Esta classificação pode ser subdividida conforme especialização da memória –Memórias de leitura e escrita, em inglês random access memories (RAMs) têm como características básicas: –Possibilidade de acesso para leitura e escrita em tempo de execução, com tempo de acessos semelhantes –Os dados são mantidos apenas com energia –Na falta de energia, em pouco tempo os dados são perdidos –Exemplos de RAMs são: SRAMs e DRAMs –Memórias de leitura apenas, em inglês read only memories (ROMs) têm como características básicas: –Possibilidade de acesso para leitura em tempo de execução. A escrita tem um tempo de acesso muito maior –Os dados são mantidos mesmo com falta de energia –Exemplos de ROMs são: CDROM, FLASH, PROM, EPROM e EEPROM

3 / 17 Hierarquia de Memória

4 / 17 DRAM

5 / 17 SRAM

6 / 17 Read Only Memory (ROM) –ROM conjunto de constantes –O acesso a uma constante é dado por um endereço Exemplo: Definição de uma ROM 13x4 package ROM is constant largura : integer := 4; subtype palavra is std_logic_vector(1 to largura); subtype tamanho is integer range 0 to 255; type mem_rom is array (0 to tamanho) of palavra; constant ROM1 : mem_rom := ("1100", "1101","0100", others => "0000"); end ROM; –Observação: ROMs são implementadas com portas lógicas pelas ferramentas de síntese lógica

7 / 17 Read Only Memory (ROM) –Módulo contador use work.ROM.all; entity contador is port ( clock, reset: in bit; waves: out palavra ); end; architecture A of contador is signal step : tamanho := 0; begin waves <= ROM1(step); -- conteúdo da ROM na saída process begin wait until clock'event and clock='1'; if reset = '1' then step <= 0; -- primeiro estado elsif step = tamanho'high then step <= tamanho'high; -- tranca ! else step <= step + 1; -- avança 1 passo end if; end process; end A; (1) Observe que utilizou-se o atributo ´high para especificar o limite superior do tipo. (2) O que fazer para a contagem tornar-se cíclica? [Atributo low]

8 / 17 Read Only Memory (ROM) –Simulação do contador utilizando a ROM: Observar que tranca no último estado, só saindo com reset entity rom_tb is end rom_tb; architecture t1 of rom_tb is signal waves: palavra; signal reset: std_logic; signal clock: std_logic; begin UUT: entity work.contador port map(clock => clock, reset => reset, waves => waves ); reset <= '1', '0' after 5 ns; process begin clock <= '1', '0' after 10 ns; wait for 20 ns; end process; end t1;

9 / 17 Read Only Memory (ROM) –Técnica muito útil para test bench control : process variable contador : integer := 0; constant rom : mem_rom := ("0101", "1111", "1010", "1001", "0111", "1011", "0010", "0001", "1101", "1111", "1110", "0001", "0111", "0011", "0010", "1001", others => "0000"); begin wait until reset'event and reset = '0'; for i in 0 to 15 loop entrada <= rom(contador); contador := contador + 1; receive <= '1' after delay; wait until acpt = '1'; receive <= '0' after delay; wait until acpt = '0'; end loop; end process;

10 / 17 FLASH

11 / 17 Comparações Iniciais

12 / 17 Phase-Change RAM (PCRAM)

13 / 17 Magnetoresistive Random Access Memory (MRAM)

14 / 17 Comparações

15 / 17 Comparações

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno FIM

17 / 17 Organização de Caches