Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.

Slides:



Advertisements
Apresentações semelhantes
1998 Morgan Kaufmann Publishers Mario Côrtes - MO401 - IC/Unicamp- 2004s2 Ch5A-1 Chapter Five The Processor: Datapath and Control.
Advertisements

Exemplo de um projeto de sistema completo
Circuitos Lógicos e Organização de Computadores Capítulo 8 –Circuitos Seqüenciais Síncronos Ricardo Pannain
Circuitos Lógicos e Organização de Computadores Capítulo 6 – Blocos com Circuitos Combinacionais Ricardo Pannain
Projecto de Hardware com FPGAs
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
abs access after alias all and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto.
Array aggregates type columns is range 1 to 4; type row is array (columns) of std_logic; variable r1 : row := ('1', '0', '1', '1'); variable r2 : row :=
The new way! The old way... TC – DEI, 2005/2006.
VHDL - VHSIC Hardware Description Language
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
VHDL AULA - 2.
VHDL Very High Speed Integrated Circuit Hardware Description Language Prof. Eduardo Todt 2008.
VHDL - uma visão geral 5 tipos de unidades
MC613 Laboratório de Circuitos Lógicos
VHDL Introdução Paulo C. Centoducatte fevereiro de 2005
VHDL - Tipos de dados e operações
Introdução a circuitos lógicos
Verificação MO801/MC912.
Dicas de Circuitos MO801/MC912.
VHDL - Introdução MO801/MC912.
MC Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
MC542 Organização de Computadores Teoria e Prática
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
MC542 Organização de Computadores Teoria e Prática
Semana de Engenharia Introdução a Linguagem de Descrição de HW VHDL a ao Projeto Baseado em Lógica de Programação ALTERA Prof. Daniel Barros Júnior
FLI – ModelSim Pedro Velho.
Library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all;
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Construção sequencial CASE WHEN
2ª Aula Teórica Prof. Cesar Costa
BARRAMENTO CPU - MEMORIA
O DSP possui 4 timers de 16 bits: –São independentes; –São utilizados para gerar uma base de tempo utilizada para os programas (temporizações em geral);
1 Estruturas de Programação Estruturas Condicionais Aula 5 IF (expressão teste) then ou IF (expressão teste) then ELSE END IF SELECT CASE (exp_select)
Máquina de Estados Finito
Usando Java no Oracle Por Edson Almeida Junior
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos.
Exercícios Referentes à Prova P2
Circuitos Seqüenciais Descrição VHDL
FATORAÇÃO LU.
Instanciação em VHDL.
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Placa de prototipação H.O.T. II
Validação de VHDL: técnicas e ferramentas
Circuitos Seqüenciais Contadores
Test-bench para Somador
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Projeto de Somador com e sem Sinal Descrição.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Diagramas de Blocos e Descrição VHDL
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias.
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Introdução à Programação da FPGA João M. P. Cardoso.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução ao VHDL João M. P. Cardoso.
1. 2 Objetivos 1.Análise dos resultados da primeira avaliação. Notas importantes para futuro. 2.Comunicação de placas baseadas em FPGAs com computador.
VHDL (Very High Speed Integrated Circuit HDL (VHSIC HDL)) GRECO CIn-UFPE.
MIPS Monociclo (MIPS_V0) Estrutura e Implementação em VHDL Fernando Moraes 03/maio/2011 Revisada por Ney Calazans em agosto/2016.
Introdução à Programação da FPGA
Projeto de Circuitos Integrados Semidedicados
Máquina de Estados Finitos com Dados (FSMD)
Lógica Sequencial e Máquina de Estados Finitos
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Transcrição da apresentação:

Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal

CPLD XC9572XL DIP botões LEDs A(2:1) D(7:0) Read/WriteChip select S 1...S 8 L 1 L 2 L 3 L 4 B4B4 B1B1 M10,T10 P16,L16,L13,J14,G15,F14,E15,B16 M16 M15 Exemplo 2. Projecto que demonstra a interacção com os dispositivos externos assim como botões, interruptores e LEDs. O circuito será desenvolvido com base em placa de protótipo TE-XC2Se disponível da Trenz Electronic que contém uma FPGA XC2S300E-6-FT Estado dos interruptores Estado dos botões Dados para LEDs

entity led_but_sw is Port (clk : in std_logic; -- relógio 48 MHz rst : in std_logic; -- reset cpld_rw : inout std_logic; -- cpld read/write cpld_cs : out std_logic; -- cpld chip select a : out std_logic_vector(2 downto 1); -- a – endereço d : inoutstd_logic_vector(7 downto 0)); -- d – dados end led_but_sw; architecture Behavioral of led_but_sw is signal state : std_logic_vector(3 downto 0); signal lled : std_logic_vector(7 downto 0); signal lpb : std_logic_vector(7 downto 0); signal dipswitch : std_logic_vector(7 downto 0); begin

process(clk, rst) – processo que descreve a sequência dos estados begin if rst= '0' then state '0'); -- state = 00…0 elsif rising_edge(clk) then state<= state + 1; -- incrementar o estado end if; end process; process(clk, rst) – processo que descreve a interacção com o CPLD begin if rst= '0' then cpld_cs <= '1'; elsif (clk='0' and clk'event) then

case state is -- endereço 00 – ler o estado dos botões when "0000"=> a<= "00"; cpld_cs <= '1'; --passivo cpld_rw <= '1'; --ler when "0001"=> cpld_cs <= '0'; --activo when "0010"=> lpb <= d;-- dados provenientes dos botões when "0011"=> cpld_cs <= '1'; --passivo -- endereço 10 – escrever os LEDs when "0100"=> a <= "10"; cpld_rw <= '0';--escrever when "0101"=> cpld_cs <= '0'; --activo when "0110"=> cpld_cs <= '1'; --passivo -- endereço 01 – ler o estado dos interruptores when "0111"=> a <= "01"; cpld_cs <= '1'; --passivo cpld_rw <= '1'; --ler when "1000"=> cpld_cs <= '0'; --activo when "1001"=> dipswitch <= d; -- dados dos interruptores when "1010"=> cpld_cs <= '1'; --passivo when others => cpld_cs <= '1'; --passivo end case;

lled <= dipswitch; -- copiar o estado dos interruptores para os LEDs if (dipswitch(7)='1') then lled(3 downto 0)<= not lpb(3 downto 0); else null; end if; end if; -- S7=0 - o estado dos interruptores é copiado para os LEDs end process;-- S7=1 - o estado dos botões é copiado para os LEDs process (lled,cpld_rw) -- copiar o sinal lled para o CPLD begin if (cpld_rw='0') then -- se o sinal de escrita for activo d <= lled; else d <= "ZZZZZZZZ"; -- alta impedância end if; end process; end Behavioral;

NET "clk" TNM_NET = "clk"; TIMESPEC "TS_clk" = PERIOD "clk" 48 MHz HIGH 50 %; NET "rst" LOC = "P15" ;# LED individual NET "d " LOC = "P16" ; NET "d " LOC = "L16" ; NET "d " LOC = "L13" ; NET "d " LOC = "J14" ; NET "d " LOC = "G15" ; NET "d " LOC = "F14" ; NET "d " LOC = "E15" ; NET "d " LOC = "B16" ; NET "cpld_rw" LOC = "M15" ; NET "cpld_cs" LOC = "M16" ; NET "clk" LOC = "T9" ; NET "a " LOC = "M10" ; NET "a " LOC = "T10" ; CPLD XC9572XL A(2:1) D(7:0) Read/WriteChip select P16,L16, L13,J14, G15,F14, E15,B16 M16 M15 M10,T10 48 MHz