ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos.

Slides:



Advertisements
Apresentações semelhantes
Circuitos Lógicos e Organização de Computadores Capítulo 8 –Circuitos Seqüenciais Síncronos Ricardo Pannain
Advertisements

Circuitos Lógicos e Organização de Computadores Capítulo 6 – Blocos com Circuitos Combinacionais Ricardo Pannain
Projecto de Hardware com FPGAs
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
abs access after alias all and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto.
Array aggregates type columns is range 1 to 4; type row is array (columns) of std_logic; variable r1 : row := ('1', '0', '1', '1'); variable r2 : row :=
The new way! The old way... TC – DEI, 2005/2006.
VHDL - VHSIC Hardware Description Language
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
Fernando Moraes e Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
Ney Laert Vilar Calazans
VHDL AULA - 2.
Lógica reconfigurável por hardware
VHDL Very High Speed Integrated Circuit Hardware Description Language Prof. Eduardo Todt 2008.
VHDL - uma visão geral 5 tipos de unidades
MC613 Laboratório de Circuitos Lógicos
VHDL Introdução Paulo C. Centoducatte fevereiro de 2005
Introdução a circuitos lógicos
Verificação MO801/MC912.
Dicas de Circuitos MO801/MC912.
VHDL - Introdução MO801/MC912.
MC Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
MC542 Organização de Computadores Teoria e Prática
MC542 Organização de Computadores Teoria e Prática
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
Co-simulação Régio Michelin.
FLI – ModelSim Pedro Velho.
Library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all;
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Construção sequencial CASE WHEN
CCS – Centro de Componentes Semicondutores Luiz Carlos Moreira/Jacobus W. Swart ASIC´s – Application Specific Integrated Circuits.
1. Conceitos de Álgebra Booleana 2. Portas Lógicas e Inversores
Gustavo Vieira Pereira
2ª Aula Teórica Prof. Cesar Costa
Controle Digital Prof. Cesar da Costa 6.a Aula – Equações às diferenças.
Projeto de Somador com e sem Sinal
Máquina de Estados Finito
Exercícios Referentes à Prova P2
Circuitos Seqüenciais Descrição VHDL
Circuitos Combinacionais Básicos Descrição VHDL
Instanciação em VHDL.
EPUSP – PTC Guido Stolfi
Lógica reconfigurável por hardware
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Validação de VHDL: técnicas e ferramentas
Circuitos Seqüenciais Contadores
Projeto de um Comparador Descrição Estrutural x Comportamental
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Descrição de Atrasos.
Test-bench para Somador
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Projeto de Somador com e sem Sinal Descrição.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Exercícios Referentes à Prova P1
Diagramas de Blocos e Descrição VHDL
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias.
Ney Laert Vilar Calazans
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Circuitos Combinacionais Básicos Descrição VHDL
Introdução à Linguagem VHDL
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução ao VHDL João M. P. Cardoso.
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
Introdução à Programação da FPGA
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Transcrição da apresentação:

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos em VHDL

2 / 11 Validação por Simulação Utilizar um circuito de teste: test-bench –Contém um circuito gerador de teste e uma instância do projeto –O gerador de teste deve gerar um conjunto de estímulos para o circuito que permitam que o comportamento do circuito seja verificado –O gerador de teste normalmente tem um descrição comportamental não sintetizável, enquanto o projeto tem uma descrição sintetizável –O test_bench não contém portas de entrada/saída

3 / 11 library IEEE; use IEEE.std_logic_1164.all; entity tb is end tb; architecture Tb of tb is signal A, B, Y, Z: std_logic; begin id1: entity work.Entidade port map(a=>A, b=>B, y=>Y, z=>Z); A <= '1' after 10ns, '0' after 20ns; B <= '1', '0' after 20ns, '1' after 30ns; end Tb; O test_bench não contém portas na interface externa Gerador de teste Seleção da entidade Instanciação do projeto (circuito) a ser testado Bibliotecas básicas Sinais internos ao test-bench Validação por Simulação

4 / 11 Ferramenta de Simulação/Síntese Disponível no ambiente Linux da FACIN Xilinx ISE –Permite a síntese e a simulação para um dispositivo programável ModelSim –Permite a simulação de uma descrição VHDL Lançamento da ferramenta –Abrir Terminal Application >> Accessories >> Terminal –Carregar parâmetros gerais source /soft64/source_gaph –Para carregar parâmetros e lançar o ISE module load ise ise –Para carregar parâmetros e lançar o Modelsim module load modelsim vsim

5 / 11 Uso de Processos em Test-Benchs architecture Processos of Processos is signal a, b: std_logic; begin process begin a <= '0', '1' after 5ns; wait for 10ns; end process; process begin b <= '0', '1' after 10ns, '0' after 20ns; wait for 30ns; end process; end Processos;

6 / 11 Uso de Processos em Test-Benchs architecture Processos of Processos is signal c, d: std_logic; begin process begin c <= '1', '0' after 20ns; wait for 20ns; end process; process begin d <= '1' after 10ns, '0' after 20ns; wait for 30ns; end process; end Processos;

7 / 11 Uso de Processos em Test-Benchs architecture Processos of Processos is signal K: std_logic_vector(3 downto 0); signal Y: std_logic_vector(3 downto 0) := (others => '0'); begin process begin K <= K + '1' after 10ns; wait for 30ns; end process; process begin Y <= Y + '1' after 10ns; wait for 30ns; end process; end Processos;

8 / 11 Uso de Processos em Test-Benchs architecture Processos of Processos is signal Z: std_logic_vector(3 downto 0) := (others => '0'); signal W: std_logic_vector(3 downto 0); begin process begin Z <= Z + '1' after 30ns; wait for 30ns; end process; process begin W <= "0101" after 10ns, x"7" after 15ns, x"A" after 20ns; wait for 25ns; end process; end Processos;

9 / 11 Exercícios de Uso de Processos em Test-Benchs 1.Dado os processos abaixo, obtenha formas de onda equivalentes architecture Processos of Processos is signal M: std_logic_vector(3 downto 0) := "0011"; signal N: std_logic_vector(3 downto 0); begin process begin M <= M + M after 30ns; wait for 30ns; end process; process begin N <= "0101" + M after 10ns, "0111" after 15ns; wait for 25ns; end process; end Processos; 2.Dadas as formas de onda abaixo, obtenha processos que as gerem

10 / 11 Soluções de Exercícios Uso de Processos em Test-Benchs 1.Dado os processos abaixo, obtenha formas de onda equivalentes 2.Dadas as formas de onda abaixo, obtenha processos que as gerem architecture Processos of Processos is signal f: std_logic; signal V: std_logic_vector(3 downto 0) := (others => '0'); begin process begin f <= '1' after 10ns, '0' after 15ns; wait for 20ns; end process; process begin V <= "0011", V + "0101" after 10ns; wait for 30ns; end process; end Processos;

11 / 11 Exercício 1.Faça test-benchs para alguns dos circuitos vistos na aula passada

12 / 11 Auxiliar library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_signed.all; entity Processos is end Processos; architecture Processos of Processos is signal a, b, c, d: std_logic; signal K: std_logic_vector(3 downto 0); signal Y: std_logic_vector(3 downto 0) := (others => '0'); signal Z: std_logic_vector(3 downto 0) := (others => '0'); signal W: std_logic_vector(3 downto 0); begin process begin a <= '0', '1' after 5ns; wait for 10ns; end process; process begin b <= '0', '1' after 10ns, '0' after 20ns; wait for 30ns; end process; process begin c <= '1', '0' after 20ns; wait for 20ns; end process; process begin d <= '1' after 10ns, '0' after 20ns; wait for 30ns; end process; process begin K <= K + '1' after 10ns; wait for 30ns; end process; process begin Y <= Y + '1' after 10ns; wait for 30ns; end process; process begin Z <= Z + '1' after 30ns; wait for 30ns; end process; process begin W <= "0101" after 10ns, x"7" after 15ns, x"A" after 20ns; wait for 25ns; end process; end Processos;