Library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; ------------------------------------------------------------------------------------

Slides:



Advertisements
Apresentações semelhantes
Circuitos Lógicos e Organização de Computadores Capítulo 8 –Circuitos Seqüenciais Síncronos Ricardo Pannain
Advertisements

Circuitos Lógicos e Organização de Computadores Capítulo 6 – Blocos com Circuitos Combinacionais Ricardo Pannain
Projecto de Hardware com FPGAs
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
abs access after alias all and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto.
Array aggregates type columns is range 1 to 4; type row is array (columns) of std_logic; variable r1 : row := ('1', '0', '1', '1'); variable r2 : row :=
The new way! The old way... TC – DEI, 2005/2006.
VHDL - VHSIC Hardware Description Language
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
VHDL AULA - 2.
VHDL Very High Speed Integrated Circuit Hardware Description Language Prof. Eduardo Todt 2008.
VHDL - uma visão geral 5 tipos de unidades
MC613 Laboratório de Circuitos Lógicos
VHDL Introdução Paulo C. Centoducatte fevereiro de 2005
VHDL - Tipos de dados e operações
Introdução a circuitos lógicos
Verificação MO801/MC912.
Dicas de Circuitos MO801/MC912.
VHDL - Introdução MO801/MC912.
VHDL (outros tópicos) MO801/MC912.
MC Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
MC542 Organização de Computadores Teoria e Prática
MC542 Organização de Computadores Teoria e Prática
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
Semana de Engenharia Introdução a Linguagem de Descrição de HW VHDL a ao Projeto Baseado em Lógica de Programação ALTERA Prof. Daniel Barros Júnior
FLI – ModelSim Pedro Velho.
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Construção sequencial CASE WHEN
2ª Aula Teórica Prof. Cesar Costa
Modelagem de Sistemas com VHDL
Fundamentos de Eletrônica Digital
Máquina de Estados Finito
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos.
Circuitos Seqüenciais Descrição VHDL
Instanciação em VHDL.
EPUSP – PTC Guido Stolfi
Exercícios de revisão.
MÉTODO JACOBI.
Circuitos Seqüenciais Contadores
Projeto de um Comparador Descrição Estrutural x Comportamental
Test-bench para Somador
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Projeto de Somador com e sem Sinal Descrição.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Diagramas de Blocos e Descrição VHDL
Var a: tPtNo; begin { corpo principal } PercPreOrdem(a);... a Visão esquemática da memória.
Listas duplamente encadeadas Estrutura: tDado = integer; { ou real, char, etc.} tPtNo = ^tNo; tNo = record Ant: tPtNo Dado: tDado; Prox: tPtNo; end; var.
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias.
Ney Laert Vilar Calazans
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Computação Eletrônica
Introdução à Programação da FPGA João M. P. Cardoso.
Prof. Anderson Royes Terroso Abril, 2000.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução a VHDL Monitoria de Digitais.
Introdução ao VHDL João M. P. Cardoso.
1. 2 Objetivos 1.Análise dos resultados da primeira avaliação. Notas importantes para futuro. 2.Comunicação de placas baseadas em FPGAs com computador.
Verilog HDL. Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE.
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
Introdução à Programação da FPGA
Máquina de Estados Finitos com Dados (FSMD)
Lógica Sequencial e Máquina de Estados Finitos
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Comandos sequenciais entity mux4_1 is
Transcrição da apresentação:

library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; Um sistema combinacional tem uma entrada x, a qual representa um dígito decimal. -- A saída z é o quadrado de x se x for maior do que 4; caso contrário, a saída z é duas vezes x. -- Dê uma descrição de alto nível do sistema ENTITY ex1 IS PORT ( x : IN INTEGER; z : OUT STD_LOGIC_VECTOR (7 DOWNTO 1)); END ex1; ARCHITECTURE comportamental OF ex1 IS BEGIN PROCESS (x) VARIABLE k : INTEGER; BEGIN IF x > 4 THEN k := x*x; ELSE k := 2*x; END IF; z <= CONV_STD_LOGIC_VECTOR(k,7); -- Conversão do tipo inteiro para o tipo -- STD_LOGIC_VECTOR END PROCESS; END comportamental; Descrição 1 Entrada: Inteiro Saída : VETOR

library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; Um sistema combinacional tem uma entrada x, a qual representa um dígito decimal. -- A saída z é o quadrado de x se x for maior do que 4; caso contrário, a saída z é duas vezes x. -- Dê uma descrição de alto nível do sistema ENTITY ex1 IS PORT ( x : IN INTEGER; z : OUT STD_LOGIC_VECTOR (7 DOWNTO 1)); END ex1; ARCHITECTURE comportamental OF ex1 IS BEGIN PROCESS (x) VARIABLE k : INTEGER; BEGIN IF x > 4 THEN k := x*x; ELSE k := 2*x; END IF; z <= CONV_STD_LOGIC_VECTOR(k,7); -- Conversão do tipo inteiro para o tipo -- STD_LOGIC_VECTOR END PROCESS; END comportamental; Descrição 1 Entrada: Inteiro Saída : VETOR

Simulação

12.8 ns (tap) tap -> tempo de atraso de propagação

Simulação 21.0ns (tap) tap -> tempo de atraso de propagação

** DEVICE SUMMARY ** Chip/ Input Output Bidir Memory Memory LCs POF Device Pins Pins Pins Bits % Utilized LCs % Utilized ex1 EPF10K10LC % 43 7 %

library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; ENTITY ex1_1 IS PORT ( x : IN INTEGER RANGE 0 TO 9; z : OUT STD_LOGIC_VECTOR (7 DOWNTO 1)); END ex1_1; ARCHITECTURE comportamental OF ex1_1 IS BEGIN PROCESS (x) VARIABLE k : INTEGER; BEGIN IF x > 4 THEN k := x*x; ELSE k := 2*x; END IF; z <= CONV_STD_LOGIC_VECTOR(k,7); END PROCESS; END comportamental; Descrição 2 Entrada: Inteiro de 0 a 9 Saída : VETOR

Chip/ Input Output Bidir Memory Memory LCs POF Device Pins Pins Pins Bits % Utilized LCs % Utilized ex1 EPF10K10LC % 7 1 % ** DEVICE SUMMARY **

library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; ENTITY ex1_2 IS PORT ( x : IN INTEGER RANGE 0 TO 9; z : OUT INTEGER RANGE 0 TO 81); END ex1_2; ARCHITECTURE comportamental OF ex1_2 IS BEGIN PROCESS (x) VARIABLE k : INTEGER; BEGIN IF x > 4 THEN k := x*x; ELSE k := 2*x; END IF; z <= K; END PROCESS; END comportamental; Descrição 3 Entrada: Inteiro de 0 a 9 Saída : Inteiro de 0 a 81

Chip/ Input Output Bidir Memory Memory LCs POF Device Pins Pins Pins Bits % Utilized LCs % Utilized ex1_2 EPF10K10LC % 7 1 % ** DEVICE SUMMARY **