A apresentação está carregando. Por favor, espere

A apresentação está carregando. Por favor, espere

O latch RS QN (QN)inv 1 S R QN+1 (QN+1)inv

Apresentações semelhantes


Apresentação em tema: "O latch RS QN (QN)inv 1 S R QN+1 (QN+1)inv"— Transcrição da apresentação:

1 O latch RS QN (QN)inv 1 S R QN+1 (QN+1)inv
TABELA DE TRANSIÇÃO DE ESTADOS S R QN+1 (QN+1)inv QN (QN)inv 1

2 O latch RS/Outras implementações
Teoremas de DeMorgan: Um matemático chamado De Morgan desenvolveu um par de regras complementares usadas para converter a operação OU em  E e vice versa.  Teorema 1: Implementação: S

3 O latch RS/Outras implementações
É possível ? O que muda ? Teoremas de DeMorgan: Teorema 2: Implementação: S S

4 O latch RS Controlado

5 O latch RS Controlado/Simulação

6 Simulação/Estudo de caso
Ruído Não afeta saída Ruído Não afeta saída

7

8 Descrição Verilog/Latch RS controlado
module latch_rs_controlado (output reg q, qinv, input c, r, s); begin if (c = =1) begin if (r == 1 & s = = 0) begin q = 0; qinv = 1; end else if (r = = 0 & s = = 1) begin q = 1; qinv = 0; endmodule Símbolo do Latch RS Controlado

9 O latch RS Controlado com SET e RESET Assíncronos

10 O latch RS com SET e RESET Assíncronos/Simulação

11 Símbolo

12 O latch D TABELA DE TRANSIÇÃO LATCH RS CONTROLADO
TABELA DE TRANSIÇÃO LATCH D

13 O latch D TABELA DE TRANSIÇÃO LATCH D

14 Descrição e Simulação latch D
module latch_tipoD (output reg q, qinv, input c, d); begin if (c = =1)begin q = d; qinv = !d; end endmodule

15 latch tipo D Descrição RTL module latch_tipoD_sem_qinv (output reg q,
input c, d); begin if (c = =1)begin q = d; end endmodule

16 latch tipo D Descrição RTL module latch_tipoD (output reg q, qinv,
input c, d); begin if (c= =1)begin q = d; qinv = !d; end endmodule

17 Latches com ativação em lógica complementar

18 Célula LATCH da ALTERA


Carregar ppt "O latch RS QN (QN)inv 1 S R QN+1 (QN+1)inv"

Apresentações semelhantes


Anúncios Google