FERRAMENTAS COMPUTACIONAIS PARA PROJETO DE SISTEMAS DIGITAIS Aula Demonstrativa Prof. Dr. Maximiliam Luppe.

Slides:



Advertisements
Apresentações semelhantes
1998 Morgan Kaufmann Publishers Mario Côrtes - MO401 - IC/Unicamp- 2004s2 Ch5A-1 Chapter Five The Processor: Datapath and Control.
Advertisements

Sistemas Digitais Projeto RTL – Unidade de Controle
Circuitos Lógicos e Organização de Computadores Capítulo 8 –Circuitos Seqüenciais Síncronos Ricardo Pannain
Projecto de Hardware com FPGAs
Dep. Eng. Electrotécnica Diagramas de controle de execução.
Fernando Gehm Moraes Ney Laert Vilar Calazans
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
Verilog AULA - 3.
Lógica reconfigurável por hardware
Finite State Machines.
Introdução a circuitos lógicos
Dicas de Circuitos MO801/MC912.
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
FLI – ModelSim Pedro Velho.
Análise de Circuitos Sequenciais Síncronos
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Fundamentos de Eletrônica Digital
Máquina de Estados Finito
Índice SUMÁRIO Introdução ao projeto de lógica seqüencial.
Engenharia de Software para Computação Embarcada
Daniel Alexandro/Reniê Delgado/Vanessa Ogg
Antonyus Pyetro Infra-estrutura de Hardware – IF674
Lógica reconfigurável por hardware
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Validação de VHDL: técnicas e ferramentas
Circuitos Seqüenciais Contadores
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Descrição de Atrasos.
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias.
Ney Laert Vilar Calazans
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Aplicações com flip-flops
Hardware Description Language Aula 4 –VHDL (introdução)
Prof. Anderson Royes Terroso Abril, 2000.
Sistemas Digitais Introdução ao Quartus II Monitoria Sistemas Digitais – {fbla, rgo, jpmk, thfp, fcm} at cin.ufpe.br Introdução ao Quartus II.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução a VHDL Monitoria de Digitais.
Introdução ao VHDL João M. P. Cardoso.
1. 2 Objetivos 1.Análise dos resultados da primeira avaliação. Notas importantes para futuro. 2.Comunicação de placas baseadas em FPGAs com computador.
Eletrônica Digital II ELT013
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
Lógica Programável e VHDL Prof. Marcio Cunha Aula 04 – Projeto de Máquina de Estados.
Ferramentas de Diagnóstico de Máquinas IMFDM Prof. Dr. Cesar da Costa 4.a Aula: Prática com Software LabVIEW.
Laboratório de Lógica Configurável T8LLC
TREINAMENTO (POWER POINT)
Introdução à Programação da FPGA
Prof. Dr. Rui Carlos Botter SOFTWARE PARA SIMULAÇÃO DISCRETA
Projeto de Circuitos Integrados Semidedicados
Máquina de Estados Finitos com Dados (FSMD)
Lógica Sequencial e Máquina de Estados Finitos
Lógica Programável e VHDL
Prof. Marcio Cunha Aula 13 – Máquina de Estados
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Laboratório de Lógica Configurável T8LLC
Fernando Moraes e Ney Laert Vilar Calazans
Projeto de Circuitos Integrados Semidedicados
Laboratório de Lógica Configurável T8LLC
Aplicativo EBSCO eBooks Autenticação
Apresentação do Curso: LABORATÓRIO DE LÓGICA CONFIGURÁVEL.
Projeto 1: Game Genius usando AppInventor®
Passo a passo – Instrutor
Passo a passo – Instrutor
Laboratório de Lógica Configurável T8LLC
Sistemas Embarcados Prof. Dr. Cesar da Costa
Eletronica Digital III
LABORG Prof. Ney Calazans Última alteração: 09/09/2019
Eletronica Digital III
Transcrição da apresentação:

FERRAMENTAS COMPUTACIONAIS PARA PROJETO DE SISTEMAS DIGITAIS Aula Demonstrativa Prof. Dr. Maximiliam Luppe

Apresentação das Ferramentas  LeonardoSpectrum  Ferramenta de síntese  Utilizada tanto para FPGA como ASIC  Quartus II  Ferramenta de síntese e roteamento  Específica para FPGA da Altera  ModelSim  Ferramenta de simulação  Utilizada tanto para FPGA como ASIC

Projeto – FSM de 4 estados tipo Mealy

Implementação em VHDL -- Quartus II VHDL Template -- Four-State Mealy State Machine -- A Mealy machine has outputs that depend on both the state and -- the inputs. When the inputs change, the outputs are updated -- immediately, without waiting for a clock edge. The outputs -- can be written more than once per state or per clock cycle. -- Implementação do Exemplo 8.6 do livro Digital Circuit Analysis & Design x A| A/0 | B/0 | --B| A/0 | C/1 | --C| B/0 | D/0 | --D| C/1 | D/0 |

Declaração da entidade library ieee; use ieee.std_logic_1164.all; entity fsm_8_6 is generic( tp_CC : time := 1 ns; -- para simulacao do tempo de atraso de um circuito combinacional tp_CS : time := 2 ns -- para simulacao do tempo de atraso de um circuito sequencial ); port( -- Sinais de controle clk: instd_logic;-- Clock reset: instd_logic;-- Reset -- Entrada e saida de dados x: instd_logic;-- Entrada z: outstd_logic-- Saida ); end entity;

Declaração da arquitetura architecture behavioural of fsm_8_6 is -- Build an enumerated type for the state machine type state_type is (stateA, stateB, stateC, stateD); -- Register to hold the current and next states signal actual_state, next_state : state_type; begin Bloco Sequencial (Memoria) -- Memory: block begin -- Determina o proximo estado de forma sincrona process (clk, reset) begin -- Inicializacao da Maquina de Estados Finitos if reset = '0' then actual_state <= stateA; -- Atribuicao ao estado atual o proximo estado elsif (rising_edge(clk)) then actual_state <= next_state after tp_CS; end if; end process; end block Memory;

-- -- Bloco Combinacional (Logica Combinacional) -- Combinational_Logic: block begin -- Determina a saida e o proximo estado baseado apenas no -- estado atual e na entrada (nao espera pela borda do clock) -- Valido para Modelo de Mealy, apenas. No Modelo de Moore, a -- saida é atribuida fora do bloco condicional. process (actual_state, x) begin case actual_state is when stateA=> if x = '0' then next_state <= stateA after tp_CC; z <= '0' after tp_CC; else next_state <= stateB after tp_CC; z <= '0' after tp_CC; end if; when stateB=> if x = '0' then next_state <= stateA after tp_CC; z <= '0' after tp_CC; else next_state <= stateC after tp_CC; z <= '1' after tp_CC; end if;

when stateC=> if x = '0' then next_state <= stateB after tp_CC; z <= '0' after tp_CC; else next_state <= stateD after tp_CC; z <= '0' after tp_CC; end if; when stateD=> if x = '0' then next_state <= stateC after tp_CC; z <= '1' after tp_CC; else next_state <= stateD after tp_CC; z <= '0' after tp_CC; end if; end case; end process; end block Combinational_Logic; end behavioural;

LeonardoSpectrum

 Technology  Permite selecionar a tecnologia alvo disponível ASIC FPGA/CPLD  Definir parâmetros avançados Máximo fanout Exclusão de células  Para este exemplo, utilizaremos a tecnologia ‘SCL05u’

LeonardoSpectrum  Input  Permite indicar a pasta de trabalho e os arquivos fonte.  Definição da codificação de máquinas de estados  Diversas outras configurações  Selecionar a pasta de trabalho ‘D:\SEL0628’ e abrir o arquivo ‘fsm_8_6.vhd’  Pressionar o botão ‘Read’  É gerada a descrição RTL do projeto

LeonardoSpectrum  Constraints  Permite definir os requisitos de velocidade do projeto Clock Período Tempo de atraso Tempo de propagação  Deixar tudo como está

LeonardoSpectrum  Optimize  Realiza a compilação do projeto RTL para a tecnologia alvo  Foco em velocidade (‘Delay’) ou em consumo (‘Area’)  Diversas opções  Pressione o botão ‘Optimize’ e vizualize os esquemático otimizado.  Compare o circuito gerado com o esperado

LeonardoSpectrum  Report  Indica o consumo total de células lógicas da biblioteca  Indica o caminho crítico.  Pressione o botão ‘Report Area’  Mude para a aba ‘Report Delay’ e pressione o botão ‘Report Delay’  Ativando ‘Bring up Schematic Viewer’ possibilita visualizar o caminho crítico no esquemático

LeonardoSpectrum  Output  Possibilita reescrever o projeto original, utilizando os dados da tecnologia alvo, para a compilação final, pelas ferramentas desta (Place&Route)  Diversos formatos de saída VHDL Verilog EDIF Etc.

Quartus II

Quartus II – Iniciando um novo projeto

Abra o arquivo fonte clicando duas vezes no nome do arquivo no Project Navigator

Compile, selecionando Processing -> Start Compilation ou pressione ‘Ctrl+L’

Visualizando a atribuição de estados utilizada pela ferramenta

Alterando a atribuição de estados da ferramenta

Recompilando o projeto

Visualizando o resultado

Visualizando o esquemático RTL

Visualizando o diagrama de estados

Visualizando o esquemático otimizado

ModelSim

Clique em Jumpstart

Clique em Create a Project

Altere os parâmetros ‘Project Name’ e ‘Project Location’

Clique em ‘Add Existing File’ e selecione o arquivo ‘fsm_8_6.vhd’

Compile o arquivo clicando com o botão direito do mouse sobre o arquivo

Inicie a simulação

Adicione os sinais e rode o ‘script’ ‘fsm_8_6_vsim_init.tcl’

Visualizando o resultado da simulação clicando no icone indicado

Observando os parâmetros de atraso posicionando o cursor em ns

Atividade  Repita todos os passos para o arquivo do dado eletrônico apresentado em sala de aula e preencha a folha de respostas.