Test-bench para Somador

Slides:



Advertisements
Apresentações semelhantes
Circuitos Lógicos e Organização de Computadores Capítulo 8 –Circuitos Seqüenciais Síncronos Ricardo Pannain
Advertisements

Circuitos Lógicos e Organização de Computadores Capítulo 6 – Blocos com Circuitos Combinacionais Ricardo Pannain
Projecto de Hardware com FPGAs
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
Array aggregates type columns is range 1 to 4; type row is array (columns) of std_logic; variable r1 : row := ('1', '0', '1', '1'); variable r2 : row :=
The new way! The old way... TC – DEI, 2005/2006.
VHDL - VHSIC Hardware Description Language
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
Ney Laert Vilar Calazans
VHDL AULA - 2.
VHDL Very High Speed Integrated Circuit Hardware Description Language Prof. Eduardo Todt 2008.
MC613 Laboratório de Circuitos Lógicos
VHDL Introdução Paulo C. Centoducatte fevereiro de 2005
Introdução a circuitos lógicos
Verificação MO801/MC912.
Dicas de Circuitos MO801/MC912.
MC Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
MC542 Organização de Computadores Teoria e Prática
MC542 Organização de Computadores Teoria e Prática
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
FLI – ModelSim Pedro Velho.
Library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all;
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
VERILOG HDL (HARDWARE DESCRIPTION LANGUAGE)
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Construção sequencial CASE WHEN
2ª Aula Teórica Prof. Cesar Costa
Modelagem de Sistemas com VHDL
Projeto de Somador com e sem Sinal
Máquina de Estados Finito
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos.
Exercícios Referentes à Prova P2
Circuitos Seqüenciais Descrição VHDL
Circuitos Combinacionais Básicos Descrição VHDL
Instanciação em VHDL.
EPUSP – PTC Guido Stolfi
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Circuitos Seqüenciais Contadores
Projeto de um Comparador Descrição Estrutural x Comportamental
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Descrição de Atrasos.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Projeto de Somador com e sem Sinal Descrição.
Definição do MoC Subjacente a Aplicação Prof. Dr. César Augusto Missio Marcon Parcialmente extraído de trabalhos de Axel Jantch, Edward Lee e Alberto Sangiovanni-Vincentelli.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Exercícios Referentes à Prova P1
Diagramas de Blocos e Descrição VHDL
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias.
Computador Cleópatra Programação Assembly e Alto Nível
Ney Laert Vilar Calazans
Aula Prática PL Profa. Bernadette Farias Lóscio
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Circuitos Combinacionais Básicos Descrição VHDL
Hardware Description Language Aula 4 –VHDL (introdução)
Projetando Sistemas Digitais com SystemVerilog
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução ao VHDL João M. P. Cardoso.
Teste.
Verilog HDL. Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE.
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
Teste.
Teste.
Teste.
Teste\. testes.
Introdução à Programação da FPGA
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Transcrição da apresentação:

Test-bench para Somador

Somador Completo de 1 bit library IEEE; use IEEE.STD_LOGIC_1164.all; entity Soma1Bit is port ( cin: in std_logic; a: in std_logic; b: in std_logic; cout: out std_logic; s: out std_logic ); end Soma1Bit; architecture Somador1Bit of Soma1Bit is begin cout <= (a and b) or (a and cin) or (b and cin); s <= a xor b xor cin; end Somador1Bit;

Descrição de um TB para o Somador Completo de 1 Bit library IEEE; use IEEE.STD_LOGIC_1164.all; entity TbSomador1Bit is end TbSomador1Bit; architecture TbSomador1Bit of TbSomador1Bit is signal cin, a, b, cout, ss: std_logic; begin A0: entity Soma1Bit port map(cin=>cin, a=>a, b=>b, cout=>cout, s=>ss); process a <= '0', '1' after 5ns; wait for 10 ns; end process; b <= '0', '1' after 10 ns; wait for 20 ns; cin <= '0', '1' after 20 ns; wait for 40 ns;

Simulação do Somador Completo de 1 Bit

Somador de 4 bits library IEEE; use IEEE.STD_LOGIC_1164.all; entity Somador4Bits is port( A: in std_logic_vector(3 downto 0); B: in std_logic_vector(3 downto 0); cout: out std_logic; S: out std_logic_vector(3 downto 0) ); end Somador4Bits; architecture Somador4Bits of Somador4Bits is signal N: std_logic_vector (3 downto 0); begin A0: entity Soma1Bit port map(cin=>'0', a=>A(0), b=>B(0), cout=>N(0), s=>S(0)); A1: entity Soma1Bit port map(cin=>N(0), a=>A(1), b=>B(1), cout=>N(1), s=>S(1)); A2: entity Soma1Bit port map(cin=>N(1), a=>A(2), b=>B(2), cout=>N(2), s=>S(2)); A3: entity Soma1Bit port map(cin=>N(2), a=>A(3), b=>B(3), cout=>N(3), s=>S(3)); cout <= N(3);

Descrição do TB do somador de 4 bits library IEEE; use IEEE.STD_LOGIC_1164.all; entity TbSomador4Bit is end TbSomador4Bit; architecture TbSomador4Bit of TbSomador4Bit is signal AA, BB, SS: std_logic_vector(3 downto 0); signal cout: std_logic; begin A0:entity Somador4Bits port map(A=>AA,B=>BB,cout=>cout,S=>SS); AA <= x"0", x"A" after 20ns, x"F" after 40ns, x"5" after 60ns; BB <= x"0", x"C" after 10ns, x"4" after 30ns, x"6" after 50ns;

Simulação do somador de 4 bits

Exercício O que acontece se colocar todos os estímulos de entrada do somador de um bit em um único processo, tal como descrito abaixo? Entenda, simule... process begin a <= '0', '1' after 5ns; wait for 10 ns; b <= '0', '1' after 10 ns; wait for 20 ns; cin <= '0', '1' after 20 ns; wait for 40 ns; end process; Altere o test-bench do Somador completo de forma a gerar todas as combinações de todos os estímulos de entrada Altere o test-bench acima de forma a testar os somadores implementados ao final da aula anterior Altere o VHDL do somador, de forma a inserir os testes de qualificadores overflow, zero e negativo, além do já calculado carry.