Diagramas de Blocos e Descrição VHDL

Slides:



Advertisements
Apresentações semelhantes
Projecto de Hardware com FPGAs
Advertisements

Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
The new way! The old way... TC – DEI, 2005/2006.
VHDL - VHSIC Hardware Description Language
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
Fernando Moraes e Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
Ney Laert Vilar Calazans
Parte 2 – Introdução a VHDL Uso de Hierarquia LABORG Fernando Gehm Moraes César Marcon Ney Laert Vilar Calazans 31/agosto/2009.
VHDL AULA - 2.
Lógica reconfigurável por hardware
VHDL Very High Speed Integrated Circuit Hardware Description Language Prof. Eduardo Todt 2008.
MC613 Laboratório de Circuitos Lógicos
VHDL Introdução Paulo C. Centoducatte fevereiro de 2005
Introdução a circuitos lógicos
Verificação MO801/MC912.
Dicas de Circuitos MO801/MC912.
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
FLI – ModelSim Pedro Velho.
Library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all;
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Construção sequencial CASE WHEN
Modelagem de Sistemas com VHDL
Projeto de Somador com e sem Sinal
Cálculo da Freqüência de Operação do Relógio
Máquina de Estados Finito
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos.
Exercícios Referentes à Prova P2
Circuitos Seqüenciais Descrição VHDL
Circuitos Combinacionais Básicos Descrição VHDL
Instanciação em VHDL.
EPUSP – PTC Guido Stolfi
Lógica reconfigurável por hardware
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Circuitos Seqüenciais Contadores
Comunicações do Bloco de Controle para Bloco de Dados e Memória
Projeto de um Comparador Descrição Estrutural x Comportamental
Arquitetura Cleópatra Bloco de Controle (Instruções e Microinstruções)
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Descrição de Atrasos.
Test-bench para Somador
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Projeto de Somador com e sem Sinal Descrição.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Computador Cleópatra Arquitetura e Programação.
Exercícios de Máquinas de Estado
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Exercícios Referentes à Prova P1
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias.
Computador Cleópatra Programação Assembly e Alto Nível
Arquiteturas Von Neumann e Harvard Computador Cleópatra
Ney Laert Vilar Calazans
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Introdução a Organização e Arquitetura de Computadores
Circuitos Combinacionais Básicos Descrição VHDL
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Arquitetura Cleópatra Bloco de Controle.
Arquiteturas Von Neumann e Harvard Computador Cleópatra
Hardware Description Language Aula 4 –VHDL (introdução)
Introdução à Linguagem VHDL
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução a VHDL Monitoria de Digitais.
Introdução ao VHDL João M. P. Cardoso.
1. 2 Objetivos 1.Análise dos resultados da primeira avaliação. Notas importantes para futuro. 2.Comunicação de placas baseadas em FPGAs com computador.
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
MIPS Monociclo (MIPS_V0) Estrutura e Implementação em VHDL Fernando Moraes 03/maio/2011 Revisada por Ney Calazans em agosto/2016.
Introdução à Programação da FPGA
Projeto de Circuitos Integrados Semidedicados
Máquina de Estados Finitos com Dados (FSMD)
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Transcrição da apresentação:

Diagramas de Blocos e Descrição VHDL 1

VHDL  Diagrama de blocos Dada a descrição da entidade e da arquitetura do módulo InterfaceExterna. Considerando que os módulos: Incrementador, Armazenador, ControlaSaida e ControlaEntrada estão apenas mapeados na arquitetura do InterfaceExterna, faça o diagrama de blocos conrrespondente. entity InterfaceExterna is port ( entraDado: in std_logic_vector(7 downto 0); c, r, recebe, ack: in std_logic; aceita, envia: out std_logic; saiDado: out std_logic_vector(7 downto 0) ); end InterfaceExterna; architecture InterfaceExterna of InterfaceExterna is signal incrm: std_logic_vector(3 downto 0); signal dadoSaida: std_logic_vector(7 downto 0); begin T1: entity Incrementador port map(ck=>c, rst=>r, incrm=>incrm); T2: entity controlaEntrada port map(ck=>c, rst=>r, incrm=>incrm, dI=>entraDado, snd=>envia, ack=>ack); T3: entity Armazenador port map(ck=>c, rst=>r, dadoI=>entraDado, dadoO=>dadoSaida); T4: entity controlaSaida port map(ck=>c, rst=>r, incrm=>incrm, rcb=>recebr, ack=>aceita); saiDado<= dadoSaida; end InterfaceExterna; 

VHDL  Diagrama de blocos entity incrementador is port( ck: in std_logic; rst: in std_logic; incrm: out std_logic_vector(3 downto 0) ); end incrementador; entity controlaEntrada is ck : in std_logic; rst : in std_logic; ack: in std_logic; dI: in std_logic_vector(7 downto 0); incrm: in std_logic_vector(3 downto 0); snd: out std_logic end controlaEntrada; entity Armazenador is port( ck: in std_logic; rst: in std_logic; dadoI: in std_logic_vector(7 downto 0); dadoO: out std_logic_vector(7 downto 0) ); end Armazenador; entity controlaSaida is ck : in std_logic; rst : in std_logic; rcb: in std_logic; incrm: in std_logic_vector(3 downto 0); ack: out std_logic end controlaSaida;

VHDL  Diagrama de blocos Solução:

Exercício: Diagrama de Blocos  VHDL Dado o diagrama de blocos abaixo, faça a entidade e arquitetura do módulo mais externos e as entidades de módulos internos