VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.

Slides:



Advertisements
Apresentações semelhantes
Programas e Microprogramas
Advertisements

Projecto de Hardware com FPGAs
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuits VHDL-87 VHDL-93.
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
Fernando Moraes e Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
Ney Laert Vilar Calazans
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
Verilog AULA - 3.
VHDL AULA - 2.
Lógica reconfigurável por hardware
Teste de Software Parte 3.
Introdução a circuitos lógicos
Dicas de Circuitos MO801/MC912.
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
VERILOG HDL (HARDWARE DESCRIPTION LANGUAGE)
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Hardware Description Language (HDL)
Modelagem de Sistemas com VHDL
L Lista Simplesmente Encandeada 001 Jose R$ 1500,00 Prox=BB 002 Carlos R$ 640,00 Prox=CC 003 Marina R$ 900,00 Prox=DD 004 Cicera R$ 420,00 Prox AABBCCDD.
Descrição de Sistemas Digitais em VHDL
Máquina de Estados Finito
Prof. Bruno Moreno Aula 4 – 11/03/2011
Circuitos Seqüenciais Descrição VHDL
Instanciação em VHDL.
EPUSP – PTC Guido Stolfi
Lex Linguagem (e compilador) para especificar analisadores léxicos.
Lógica reconfigurável por hardware
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Uma Linguagem de Especificação de Sistemas
Validação de VHDL: técnicas e ferramentas
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Descrição de Atrasos.
Estrutura de Dados Unidade 16 Simulação da função Fatorial utilizando recursão – 16.1 Prof. Dr. Roberto Ferrari Jr.
Var a: tPtNo; begin { corpo principal } PercPreOrdem(a);... a Visão esquemática da memória.
Listas duplamente encadeadas Estrutura: tDado = integer; { ou real, char, etc.} tPtNo = ^tNo; tNo = record Ant: tPtNo Dado: tDado; Prox: tPtNo; end; var.
Procedure PercPreOrdem(a: tPtNo); var q: tPtNo; P: tPilha; begin Inicializa(P); Empilhar(P,a); while not PilhaVazia(P) do begin q:= Topo(P); Desempilhar(P);
O que é o Delphi ? Uma IDE (Integrated Development Enviroment)
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
Ney Laert Vilar Calazans
BRAZIL IP The BrazilIP Network Verilog Curso do Brazil-IP Elmar Melcher UFCG
Prof. Manoel Eusebio Prof. Abel Guilhermino
Descrição de Circuitos Combinacionais em VHDL
Conversão de Tipos Exemplo: x + i, onde x é do tipo real e i é do tipo integer. A especificação da linguagem deve indicar se a linguagem suporta este tipo.
Introdução a Organização e Arquitetura de Computadores
BRAZIL IP The BrazilIP Network SystemVerilog para Verificação funcional com OVM Curso do Brazil-IP Elmar Melcher UFCG
Computação Eletrônica
Introdução à Linguagem VHDL
Prof. Anderson Royes Terroso Abril, 2000.
VERILOG.
Introdução a VHDL Monitoria de Digitais.
EDA – Electronic Design Assistant
Introdução ao VHDL João M. P. Cardoso.
Introdução à Programação
Introdução aos Testes MO801/MC912. Motivação Aspectos iniciais de testabilidade são necessários antes de criar módulos maiores Como executar código VHDL?
DECOM – ICEB – UFOP Prof. Álvaro Guarda Aprendizado de Máquina - 0 Aprendizado Indutivo de Conceitos Conceito Conjunto de objetos com características comuns.
Faculdade Pernambuca - FAPE Compiladores Abril/2007 Compiladores Abril/2007.
COMPILADORES 03 Prof. Marcos.
Verilog HDL. Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE.
VHDL (Very High Speed Integrated Circuit HDL (VHSIC HDL)) GRECO CIn-UFPE.
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
SEMÂNTICA DENOTACIONAL Alexandre Mota
Projeto de Circuitos Integrados Semidedicados
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Transcrição da apresentação:

VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais

Especificação da Estrutura do Sistema

Instanciação Direta

Especificação da Estrutura do Sistema Instanciação Direta

Especificação da Estrutura do Sistema Instanciação Direta

Especificação da Estrutura do Sistema Componentes

Especificação da Estrutura do Sistema Componentes

Especificação da Estrutura do Sistema Componentes

Especificação da Estrutura do Sistema Componentes

Algumas Práticas que Devem ser Evitadas Maus exemplos: process (a,b) begin if (a = 1) then q <= b; end if; end process; process(c) begin case c is when 0 => q <= 1; z <= 0; when others => q <= 0; end case; end process; Exemplo1 falta else Exemplo2 falta atribuição de z quando c é diferente de 0. Para evitar: atribua valores default as saídas process(inputs,state) begin – outpus <= 0; – case (state) –... – end case; end process;

Teste x Verificação Verificação – usado para verificar se o projeto está de acordo com o desejado – objetivo é verificar a funcionalidade – a ferramenta mais utilizada é o simulador Teste – usado para verificar a fabricação do dispositivo – objetivo não é verificar a funcionalidade – objetivo é exercitar os nós físicos do sistema nós devem ir de 0 para 1 ou de 1 para 0 – usa padrões específicos para exercitar estes nós estes padrões são gerados por ferramenta de ATPG (automatic test pattern generation )

Test Bench UUT testbench geração de estímulos avaliação de respostas referencial

Test Bench

Exemplo:

Geração de estímulos Origem dos estímulos: – formas de onda – de arquivos – de tabelas – aleatória – mista

Estímulos Tipo Forma de Onda process begin s <= 0 ; wait for 20 ns; s <= 1 ; wait for 10 ns; s <= 0 ; wait for 10 ns; s <= 1 ; wait for 20 ns; s <= 0 ; wait for 50 ns; s <= 1 ; wait for 10 ns; s <= 0 ; wait for 20 ns; s <= 1 ; wait for 10 ns; s <= 0 ; wait for 20 ns; s <= 1 ; wait for 40 ns; s <= 0 ; wait for 20 ns; end process;

Estímulos de Arquivos procedure ReadFile() is variable lineAux : line; file PatternFile : TEXT open READ_MODE is inputPattern.txt"; begin for i in 0 to nPolig-1 loop readline(PatternFile, lineAux); read(lineAux,value);... end loop; end procedure; Vantagem: simples implementação para padrões simples Desvantagem: difícil de implementar para padrões complexos aumenta tempo de simulação devido as chamadas de sistema

Estímulos de Tabela type vector is record LD : std_logic; LL : std_logic; RST : std_logic; D : std_logic_vector(7 downto 0); P : std_logic; end record; type vectorArrayType is array (0 to TABLE_SIZE) of vector; constant vectorTable : vectorArrayType := ( -- LD LL RST D P ( 0, 0, 0, xxxxxxxx 0), ( 0, 0, 1, xxxxxxxx 0), ( 1, 0, 0, ), ( 0, 1, 0, ), ( 0, 0, 0, xxxxxxxx 1),... ); Vantagem: menor tempo de simulação que estímulo de arquivo Desvantagem: aumenta tempo de compilação consome memória para muitos padrões

Test Bench - Verificação

Exemplo:

Práticas Básicas Convenções de nomes (sinais, entidades, arquivos, etc) Incluir headers nos fontes – descrição (func, autor, versão, etc) – formato e temp. de entrada e saída – histórico Comentários (inglês) Indentação Instanciação (associação por nomes) Uma linha por comando/declaração Usar tipos baseados nos tipos padrões IEEE – std_logic, std_ulogic Não usar valores fixos na descrição – use generic ou constant DISCIPLINA !!!