VERILOG HDL Instruções de Laço Repeat While For

Slides:



Advertisements
Apresentações semelhantes
SystemVerilog: Síntese de Lógica combinatória Função lógica combinatória pode ser representada como: logic_output(t) = f(logic_inputs(t)) Regras Evite.
Advertisements

1 Hardware Description Language (HDL) Para quê precisamos de uma Linguagem de Descrição de Hardware ? Modelar, Representar e simular hardware digital Concorrência.
Estruturas de Controle
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
MC 542- Organização de Computadores
Pascal comandos iterativos: FOR WHILE REPEAT Matrizes (tabelas)
Contadores Digitais.
Análise de Circuitos Sequenciais Síncronos
Estrutura de Repetição
Circuitos Sequenciais
Library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all;
VERILOG HDL (HARDWARE DESCRIPTION LANGUAGE)
O FLIP-FLOP Os latches e os flips-flops são os blocos elementares com os quais se constrói a maior parte dos circuitos sequenciais. Um flip-flop é um dispositivo.
Hardware Description Language (HDL)
O FLIP-FLOP As latches e os flips-flops são os blocos elementares com os quais se constrói a maior parte dos circuitos sequenciais. Um flip-flop é um dispositivo.
O Flip-Flop Mestre/Escravo
Eletrônica Digital Circuitos Combinacionais: O seu estado (os valores) de todas as saídas depende apenas dos valores das entradas neste mesmo instante.
Laboratório de Programação de Computadores II Aula 1 2S/2009.
Cronograma de SCII - 2o. Ano de STPD - Profs. Fátima e Paulo
Apresentação da linguagem Python
Com pós condição Comandos de desvio
DESENVOLVIMENTO DE COMÉRCIO ELETRÔNICO
Aula Inicial.
Engenharia de Software para Computação Embarcada
Cálculo da Freqüência de Operação do Relógio
Introdução a Computação e Cálculo Numérico
EPUSP – PTC Guido Stolfi
Antonyus Pyetro Infra-estrutura de Hardware – IF674
Antonyus Pyetro Infra-estrutura de Hardware – IF674
Antonyus Pyetro Infra-estrutura de Hardware – IF674
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Descrição de Atrasos.
Material Didático Proposto
Universidade Federal de Alagoas – UFAL Centro de Tecnologia – CTEC
PROGRAMAÇÃO I UNIDADE 4.
Ney Laert Vilar Calazans
CIRCUITO COMBINACIONAIS
BRAZIL IP The BrazilIP Network Verilog Curso do Brazil-IP Elmar Melcher UFCG
Prof. Manoel Eusebio Prof. Abel Guilhermino
Módulo I Capítulo 4: Operador de Repetição
Programação I Aula 5 (Instruções de Repetição) Prof. Gilberto Irajá Müller Última atualização 24/3/2009.
PROGRAMAÇÃO ou LINGUAGEM C?
SISTEMAS DIGITAIS AULA 6 Prof. José Bezerra de Menezes Filho CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DA PARAÍBA DA PARAÍBA.
Estruturas de Controlo Repetitivas
BRAZIL IP The BrazilIP Network SystemVerilog para Verificação funcional com OVM Curso do Brazil-IP Elmar Melcher UFCG
A Linguagem de Máquina – Desvios e Laços
Hardware Description Language Aula 4 –VHDL (introdução)
FACULDADE DE CIÊNCIAS SOCIAIS E TECNOLÓGICAS Tecnologia em Redes de Computadores Algoritmos e linguagens de programação 1 (aula 06) Prof. Alessandro Bernardo.
Ciclos for, while e do...while
Hardware Description Language Aula 9 –Verilog HDL Prof. Afonso Ferreira Miguel, MSc.
Aula Prática 2 Monitoria IP/CC (~if669).
FACULDADE DE CIÊNCIAS SOCIAIS E TECNOLÓGICAS Tecnologia em Redes de Computadores Algoritmos e linguagens de programação 1 (aula 09) Prof. Alessandro Bernardo.
Introdução à Linguagem VHDL
Técnicas de Programação I
VERILOG Monitoria Infra-Estrutura de Hardware Álvaro João – ajss Húgaro Bernardino – hbb Fred Rabelo - ferrf Leonardo Leandro – lsl2 Jéssica de.
EDA – Electronic Design Assistant
Revisão do Quartus II e Ferramentas de Simulação
TRABALHO. Trabalho Fazer uma pesquisa sobre as linguagens HDL existentes atualmente (Escrever ao menos 1 parágrafo sobre as que encontrar)
1 Hardware Description Language (HDL)  Para quê precisamos de uma Linguagem de Descrição de Hardware ?  Modelar, Representar e simular hardware digital.
Curso Técnico em Informática Professor Igor Vale.
ALGORITMOS Profº Antonio Carlos Marcelino de Paula.
FACULDADE DE CIÊNCIAS SOCIAIS E TECNOLÓGICAS Tecnologia em Redes de Computadores Algoritmos e linguagens de programação 1 (aula 08) Prof. Alessandro Bernardo.
Comandos de Repetição (While, Do..While e For)
Linguagem de Programação 11 Estruturas de Decisão e Repetição. Prof. Luiz José Hoffmann Filho
1 Estruturas de Controle Algoritmos Algoritmos –Série de ações em uma ordem específica As ações executadas Ordem na qual as ações são executadas.
Verilog HDL. Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE.
ALGORITMOS E LÓGICA DE PROGRAMAÇÃO
Aula 1 Teoria da Computação Máquina de Turing
1Unidade 04 – Estruturas de Repetição Algoritmos e Linguagens de Programação Estruturas de Repetição Prof.: Guilherme Baião S. Silva
Estruturas de repetição ou ciclos
Transcrição da apresentação:

VERILOG HDL Instruções de Laço Repeat While For O laço Repeat não é usado para síntese de circuitos O laço While é usado para síntese de circuitos sequenciais O laço For é usado para síntese de circuitos combinacionais

VERILOG HDL Instruções de Laço O Laço for Sintaxe: for (<índice =valor inicial>; <índice == valor final>; <incremento/decremento do índice>); < > >= <= expressões de comparação O laço For é usado para síntese de circuitos combinacionais

VERILOG HDL Exemplo da construção FOR LOOP: Descrever em VERILOG um circuito para determinar a ordem do bit ‘1’ de mais alta significância em um vetor de entrada de 8 bits. Solução: ordem_bit B A 8 bits 3 bits

VERILOG HDL Solução: module ordem_bit (output reg [2:0]B, input [7:0] A); integer i; always @(*) begin B = 0; for (i = 0; i <= 7; i = i+1) if (A[i]==1) B = i; end endmodule i, índice do for (variável não é sintetizada)

VERILOG HDL Simulação:

VERILOG HDL Exemplo 2: Dê uma especificação de alto nível de um sistema combinacional que computa a distância entre dois 1’s no vetor de bits de entrada (8 bits). Suponha que o vetor tenha exatamente dois 1’s. Por exemplo se x = (1,0,1,0,0,0,0,0) então a distância é 2.

VERILOG HDL module distancia_bit (output reg [2:0] B, input [7:0] A); integer i; reg [2:0] j,k; always @(*) begin B=0; k=0; j=0; for (i = 0; i <= 7; i = i+1) if (A[i]==1) j = i; // bit de maior ordem for (i = 7; i >= 0; i = i-1) k = i; // bit de menor ordem B = j - k; // cálculo da diferença na ordem dos bits end endmodule

VERILOG HDL Simulação: module distancia_bit (output reg [2:0] B, input [7:0] A); integer i; reg [2:0] j,k; always @(*) begin B=0; k=0; j=0; for (i = 0; i <= 7; i = i+1) if (A[i]==1) j = i; // bit de maior ordem for (i = 7; i >= 0; i = i-1) k = i; // bit de menor ordem B = j - k; // cálculo da diferença na ordem dos bits end endmodule Simulação:

VERILOG HDL Exercício: Um sistema combinacional tem uma entrada x, a qual representa um dígito decimal. A saída z é o quadrado de x se x for maior do que 4; caso contrário, a saída z é duas vezes x. Dê uma descrição Verilog do sistema

VERILOG HDL Solução: module exercicio1 (output reg [6:0]z, input [3:0] x); always @(*) begin if (x > 4) z = x*x; else z = 2*x; end endmodule

VERILOG HDL Simulação:

VERILOG HDL Simulação: 12.8 ns (tap) tap -> tempo de atraso de propagação

VERILOG HDL Simulação: 21.0ns (tap) tap -> tempo de atraso de propagação Simulação: