Introdução a VHDL Monitoria de Digitais.

Slides:



Advertisements
Apresentações semelhantes
Capítulo 2 - Introdução aos Circuitos Lógicos
Advertisements

Lógica Booleana A álgebra booleana é baseada totalmente na lógica. Desta forma, os circuitos lógicos executam expressões booleanas. As expressões booleanas.
abs access after alias all and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto.
VHDL - VHSIC Hardware Description Language
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
Fernando Moraes e Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
Ney Laert Vilar Calazans
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
VHDL Linguagem de Descrição e Síntese de Circuitos Digitais Sistemas Digitais.
Verilog AULA - 3.
VHDL AULA - 2.
Lógica reconfigurável por hardware
VHDL Introdução Paulo C. Centoducatte fevereiro de 2005
Introdução a circuitos lógicos
Dicas de Circuitos MO801/MC912.
Semana de Engenharia Introdução a Linguagem de Descrição de HW VHDL a ao Projeto Baseado em Lógica de Programação ALTERA Prof. Daniel Barros Júnior
FLI – ModelSim Pedro Velho.
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
VERILOG HDL (HARDWARE DESCRIPTION LANGUAGE)
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Hardware Description Language (HDL)
Modelagem de Sistemas com VHDL
Eletrônica Digital Circuitos Combinacionais: O seu estado (os valores) de todas as saídas depende apenas dos valores das entradas neste mesmo instante.
Fundamentos de Eletrônica Digital
Paradigmas de programação
Eletrônica Digital II ELT013
João Lucas de Oliveira Torres
Ada.
Circuitos Seqüenciais Descrição VHDL
UTFPR – Prof. Carlos Raimundo Erig Lima. .….… STD_LOGIC (equivalente ao BIT) STD_LOGIC_VECTOR (equivalente ao BIT_VECTOR)
EPUSP – PTC Guido Stolfi
Antonyus Pyetro Infra-estrutura de Hardware – IF674
Lógica reconfigurável por hardware
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Validação de VHDL: técnicas e ferramentas
Circuitos Seqüenciais Contadores
Projeto de um Comparador Descrição Estrutural x Comportamental
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
Seminário 1: Revisão de C
O Portal do Estudante de Computação
Prof. Manoel Eusebio Prof. Abel Guilhermino
Linguagem Pascal Prof. Sérgio Rodrigues.
Aulas 2 e 3 – Java – Prof. Marcelo Heitor # O método main e argumentos na linha de comando; # Fluxo padrão de entrada e saída; # A classe JOptionPane;
Aula prática 2 Operadores e Expressões Comandos de Decisão Comentários
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Hardware Description Language Aula 4 –VHDL (introdução)
Hardware Description Language Aula 5 -VHDL Prof. Afonso Ferreira Miguel, MSc.
Hardware Description Language Aula 9 –Verilog HDL Prof. Afonso Ferreira Miguel, MSc.
Introdução aos algoritmos de programação
Introdução à Linguagem VHDL
Prof. Anderson Royes Terroso Abril, 2000.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução ao VHDL João M. P. Cardoso.
1. 2 Objetivos 1.Análise dos resultados da primeira avaliação. Notas importantes para futuro. 2.Comunicação de placas baseadas em FPGAs com computador.
Colégio da Imaculada Colégio da Imaculada Curso Técnico em Informática
VHDL (Very High Speed Integrated Circuit HDL (VHSIC HDL)) GRECO CIn-UFPE.
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
Descrevendo Circuitos Lógicos Capítulo 3 Parte I
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 05 – VHDL: Portas, Tipos, Objetos, Operadores e Atributos.
Introdução à Programação da FPGA
Projeto de Circuitos Integrados Semidedicados
Máquina de Estados Finitos com Dados (FSMD)
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Comandos sequenciais entity mux4_1 is
Transcrição da apresentação:

Introdução a VHDL Monitoria de Digitais

VHDL: VHDL (VHSIC – Very High Speed Integrated Circuit Hardware Description Language) é uma linguagem de descrição de hardware muito importante, pois é amplamente utilizada no desenvolvimento de sistemas atuais.

VHDL: VHDL foi desenvolvido para facilitar a descrição de circuitos complexos, sem precisar da utilização de vários manuais específicos para cada parte de um projeto. Ou seja, é possível descrever um circuito digital de forma estrutural ou comportamental.

Termos:

Entity: Responsável pela descrição das portas de entrada e saída dos circuitos, que servem para formalizar uma comunicação com outros circuitos. Cada entidade deve possuir uma ou mais arquiteturas.

Em VHDL, existem três tipos de arquiteturas: Architecture: Responsável por descrever o comportamento do circuito, ou seja, o algoritmo utilizado para desempenhar sua funcionalidade. Em VHDL, existem três tipos de arquiteturas: Estrutural Comportamental DataFlow Comportamental processo

Architecture - Estrutural: sinais: Interconexões entre componentes Componentes: Devem ter sido especificado anteriormente (Hierarquia) Uso dos componentes: Podem ser usados mais de uma vez (Reusabilidade)

Architecture - Dataflow: Data Flow (eq. booleanas): Concorrência

Architecture - Dataflow: O que é melhor utilizar expressões concorrentes ou sequências? Depende do que você quer implementar... a <= b; b <= a; a := b; b := a; O primeiro faz com que os valores de a e b sejam permutados e o segundo faz com que a e b assumam o valor anterior de b.

Architecture - Processo: Algoritmo(Processo): Seqüencial Lista de Sensibilidade: Sinais de entrada Região declarativa: Região entre o fim da lista de sensibilidade e a palavra chave begin. Usada para declarar variáveis ou constantes dentro do processo. Campo de atribuições: Campo entre a chave begin e end ALG;

Configuration: Uma configuração é usada para ligar a arquitetura de um componente a sua entidade. Uma configuração permite a seleção de diversas arquiteturas em diferentes níveis de hierarquia.

Package: As bibliotecas são coleções de tipos de dados e subprogramas comumente utilizados nos projetos, como uma caixa de ferramentas.

Package: Biblioteca a ser utilizada em Digitais: LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all;

Tipos: VHDL possui um conjunto de tipos definidos que se caracterizam pelo conjunto de valores que podem assumir e o conjunto de operações que sobre eles podem ser executadas. Objetos “CONSTANT”, “VARIABLE” e “SINAL” devem ser declarados como de um tipo definido para que possam ser identificados seus possíveis valores e quais operações podem ser executadas.

Tipos: BIT: assume valores de 0 ou 1 SIGNAL valor: bit; BOOLEAN: usado em teste de decisão, assume os valores true ou false. SIGNAL teste: boolean; INTEGER: assume um valor inteiro entre -2.147.483.647 e +2.147.483.67. SINGNAL valor: integer range 0 to 10; REAL:representa um número de ponto flutuante.

Tipos: STD_LOGIC: podem assumir dentre outros valores os seguintes: não inicializado(U), alta impedância(Z), nível lógico alto(1) e nível lógico baixo(0). SIGNAL valor: std_logic; Vetores: são conjuntos de sinais perviamente definidos, exemplos: SIGNAL vetor: std_logic_vector(10 DOWNTO 0); SIGNAL vetor: std_logic_vector(0 TO 10); SIGNAL vetor: bit_vector(10 DOWNTO 0); SIGNAL vetor: bit_vector(0 TO 10);

Operadores: Lógicos: and, or, xor, not, nand valor := a xor b; Relacionais: =, /=,<,>,<=,>= IF (a /= b) THEN; A <= B;obs.:cuidado com o operador <=, pois ele também é um operador de atribuição. Deslocamento: sll, srl, sla, rol, ror sinal_a <= x ror 3; z <= x sll 1;

Operadores: Adição: +, -, & Sinal: +, - valor := a + b; Valor := “00” & “11”; (concatenação: “0011”) Sinal: +, - A <= -B; Multiplicação e divisão: *, /, mod, rem a_div_b := a / b; Diversos: **, abs, not sinal_abs <= abs( -3); valor := b**2;

Decisões: If then else: Case When: IF (condição) THEN código; Else codigo; END IF; Case When: Case estados is when estado1 => código; when estado2 => código; when others => código; END CASE;

Decisões: With select: WHEN “00” => código; WHEN “01” => código; SIGNAL sinalA: STD_LOGIC_VECTOR(1 DOWNTO 0); CASE sinalA IS WHEN “00” => código; WHEN “01” => código; WHEN OTHERS => pode ter ou não operações; END CASE; With select: WITH expressao_escolha SELECT sinal <= expressao_a WHEN condicao1, expressao_b WHEN condicao2, expressao_c WHEN condicao3 | condicao4, expressao_d WHEN OTHERS;

Referências: Aula de VHDL auxiliar da cadeira de Infra de Hardware. VHDL Programming by Example, Douglas L. Perry, 4ª edition. Aula de VHDL auxiliar da cadeira de Infra de Hardware. Aula 1 de VHDL antiga Aula 2 de VHDL antiga