Ney Laert Vilar Calazans

Slides:



Advertisements
Apresentações semelhantes
Vamos colocar uma música agora?
Advertisements

Programação em Java Prof. Maurício Braga
Apostila - Geração de Arquivos do ENADE. Localizar a aplicação – Geração de Arquivos do Enade.
Apostila - Geração de Arquivos do ENADE. Localizar a aplicação – Geração de Arquivos do Enade.
PARA ADICIONAR UM VÍDEO
The new way! The old way... TC – DEI, 2005/2006.
Fernando Gehm Moraes Ney Laert Vilar Calazans
Fernando Gehm Moraes Ney Laert Vilar Calazans
Ney Laert Vilar Calazans
Fernando Moraes e Ney Laert Vilar Calazans
Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Informática Programa de Pós-Graduação em Ciência da Computação Xilinx ChipScope Pro.
Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
Aula 12 – Prototipação de Processadores e Entrada e Saída LABORG 1º/junho/2009 Ney Laert Vilar Calazans.
Parte 6 - Introdução a FPGAs (Continuação: Alterações no Projeto do Somador da Parte 5) LABORG 06/abril/2009 Fernando Gehm Moraes César Augusto Missio.
Parte 7 - VHDL: Processos, Paralelismo e o Comando process (Continuação) LABORG 05/outubro/2009 César Augusto Missio Marcon Ney Laert Vilar Calazans.
Parte 9 – Prototipação de Processadores e Entrada e Saída LABORG 27/novembro/2009 Ney Laert Vilar Calazans.
Ney Laert Vilar Calazans
Parte 2 – Introdução a VHDL Uso de Hierarquia LABORG Fernando Gehm Moraes César Marcon Ney Laert Vilar Calazans 31/agosto/2009.
VHDL AULA - 2.
Aula 4 - Introdução a FPGAs (Continuação) LABORG 24/março/2008 Fernando Gehm Moraes César Augusto Missio Marcon Ney Laert Vilar Calazans.
Introdução a circuitos lógicos
Dicas de Circuitos MO801/MC912.
MC542 Organização de Computadores Teoria e Prática
Estatística Básica Utilizando o Excel
FLI – ModelSim Pedro Velho.
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
TUTORIAL – MICRO SERVIDOR OS PASSOS NESTE TUTORIAL TERÃO QUE SER EXECUTADOS NO MICRO SERVIDOR, OU SEJA, O COMPUTADOR QUE IRÁ SER GRAVADO O BANCO DE DADOS.
Personalizando Tabelas
MANUAL CAF – CONTROLE ACADÊMICO FINANCEIRO
Máquina de Estados Finito
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos.
Cadastrar serviço para um produto
Circuitos Seqüenciais Descrição VHDL
Mini Curso Ferramentas de Manutenção: R – Studio
EPUSP – PTC Guido Stolfi
César Augusto Missio Marcon Ney Laert Vilar Calazans
ABRA A JANELA DO MEU COMPUTADOR
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Informática Programa de Pós-Graduação em Ciência da Computação PUCRS-FACIN-PPGCC
Validação de VHDL: técnicas e ferramentas
Test-bench para Somador
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Projeto de Somador com e sem Sinal Descrição.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
1 Prof. Hubert Chamone Gesser, Dr. Configurações preliminares para a realização de análises estatísticas Configurando o Microsoft Excel.
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
Sistemas Operacionais
IGC do Brasil BT-1306: Como gerar seus relatórios especiais no ELF.net.
Como formatar e inserir audiovisuais
Tutorial 00 Iniciando o ELO
Abr-17 Projetar Cápsulas Aula de Laboratório Projetar classes.
ÁREA DE TRABALHO DO WINDOWS
APRESENTANDO O TECLADO
Exercícios com Flexsim Passo a Passo
Como criar um CD de recuperação do Windows Cláudia Vieira & Oriana Fernandes.
Como gerar uma bacia hidrográfica no Idrisi utilizando um MNT.
Descrição de Circuitos Combinacionais em VHDL
TUTORIAL – MICRO SERVIDOR OS PASSOS NESTE TUTORIAL TERÃO QUE SER EXECUTADOS NO MICRO SERVIDOR, OU SEJA, O COMPUTADOR QUE IRÁ SER GRAVADO O BANCO DE DADOS.
Pontifícia Universidade Católica de Goiás Departamento de Engenharia Curso de Graduação em Engenharia de Produção ENG 1004 – Linguagem de Programação para.
Backup DE DADOS DO USUÁRIO. Cópia de segurança dos dados no computador, alguns fáceis e outros trabalhosos, de acordo com a quantidade de dados. Utilizado.
CONFIGURANDO O FORMATO GERAL DO SEU JORNAL ESCOLAR
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução ao VHDL João M. P. Cardoso.
Parte 5 - Introdução a FPGAs (Continuação: Alterações no Projeto do Somador da Parte 4) LABORG 21/setembro/2009 Fernando Gehm Moraes César Augusto Missio.
Tutorial Floorplanning - ISE 6.1
Apresentação do Foobar2000
Utilizando subversion como controle de versão
PASSO A PASSO PARA UMA VPN. Para testes de internet (verificação de bloqueios, Proxy, cachê, rotas) utilizamos este recurso que faz um tunelamento deixando.
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
Fernando Moraes e Ney Laert Vilar Calazans
Transcrição da apresentação:

Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010

Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out std_logic); end halfadd; architecture comp of halfadd is begin Sum <= A xor B; Carry <= A and B; end comp;

Exemplo de Testbench para o Somador library ieee; use ieee.std_logic_1164.all; entity HA_tb is end HA_tb; architecture TB of HA_tb is signal aa, bb, soma, vaium: std_logic; begin UUT: entity work.halfadd port map ( A => aa, B => bb, Sum => soma, Carry => vaium ); aa <= '0', '1' after 10 ns, '0' after 20 ns, '1' after 30 ns; bb <= '0', '1' after 20 ns; end TB; Note: testador não tem pinos externos (ports in ou out) Nome do projeto (entidade) Instanciação do projeto, conectando pinos do projeto aos fios do testador Geração dos estímulos, dizendo como pinos se comportam

Usando o Simulador do ISE da Xilinx Abrir o ambiente ISE da Xilinx Caso algum projeto esteja aberto, feche-o com a opção de menu File  Close Project Crie um novo projeto de nome somador1 com a opção de menu File  New Project. (Lembre: escolha um diretório no qual há direito de escrita)

Usando o Simulador do ISE da Xilinx 4. Criar projeto com características abaixo (a única importante no momento é a escolha do ISE Simulator na opção Simulator)

Usando o Simulador do ISE da Xilinx 5. Na janela de criação de arquivos simplesmente clique Next >

Usando o Simulador do ISE da Xilinx O próximo passo é colocar inserir os arquivos VHDL que definem o projeto, que são: a descrição do somador (transparência 7) e o testbench (transparência 9). 6. Salve o conteúdo VHDL citado respectivamente como arquivos somador1.vhd e somador1_tb.vhd, dentro do diretório principal do projeto 7. Clique em Add Source, ache os dois arquivos e os abra 8. A seguir, clique em Next >, seguido de Finish

Usando o Simulador do ISE da Xilinx Note na janela seguinte que o ambiente detectou corretamente os arquivos como sendo: um ser usado apenas em simulação (o testbench, classificado como Simulation) e o outro como geral (All), significando que ele contém VHDL sintetizável 9. A seguir, clique em OK

Usando o Simulador do ISE da Xilinx A janela principal do ambiente mostra agora um projeto com um arquivo de síntese (somador1.vhd), contendo um par entidade-arquitetura (halfadd – comp) . Se se clicar na aba Files da janela Sources, será possível visualizar os dois arquivos componentes do projeto completo.

Usando o Simulador do ISE da Xilinx 10. Para ter acesso aos recursos do simulador do ISE, no Tab Sources da janela Sources, escolha a opção Behavioral Simulation no campo Sources for:. 11. Selecione o arquivo somador1_tb.vhd na janela Sources. A janela do ISE deve ficar como ao lado.

Usando o Simulador do ISE da Xilinx 12. Na janela Processes, dê duplo clique na opção Behavioral Check Syntax, para verificar que o testbench não possui erros de sintaxe. 13. Abra a hierarquia de projeto, clicando no sinal + ao lado do arquivo somador1_tb.vhd na janela Sources. Selecione o arquivo somador1.vhd e repita o processo de verificação sintática.

Usando o Simulador do ISE da Xilinx 14. Para preparar a simulação, selecione de novo o arquivo somador1_tb.vhd (janela Sources), e clique com o botão direito do mouse na opção Simulate Behavioral Model. Na janela que se abrir, selecione (se já não estiver selecionada) a opção Advanced no item Property Display Level e mude o Simulation Run Time para 50ns.

Usando o Simulador do ISE da Xilinx 15. Para simular, basta fechar a janela Process Properties clicando em OK e dar um duplo clique na opção Simulate Behavioral Model da janela Processes. O resultado final aparece ao lado. Note a janela de formas de onda que mostra os 50ns de simulação do circuito. Experimente usar os diversos botões do simulador.