FLI – ModelSim Pedro Velho.

Slides:



Advertisements
Apresentações semelhantes
1 Hardware Description Language (HDL) Para quê precisamos de uma Linguagem de Descrição de Hardware ? Modelar, Representar e simular hardware digital Concorrência.
Advertisements

Circuitos Lógicos e Organização de Computadores Capítulo 8 –Circuitos Seqüenciais Síncronos Ricardo Pannain
Circuitos Lógicos e Organização de Computadores Capítulo 6 – Blocos com Circuitos Combinacionais Ricardo Pannain
Projecto de Hardware com FPGAs
abs access after alias all and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto.
The new way! The old way... TC – DEI, 2005/2006.
VHDL - VHSIC Hardware Description Language
VHDL - VHSIC Hardware Description Language
Fernando Gehm Moraes Ney Laert Vilar Calazans
Pontifícia Universidade Católica do Rio Grande do Sul
Fernando Moraes e Ney Laert Vilar Calazans
César Augusto Missio Marcon Ney Laert Vilar Calazans
Ney Laert Vilar Calazans
VHDL AULA - 2.
VHDL Very High Speed Integrated Circuit Hardware Description Language Prof. Eduardo Todt 2008.
MC613 Laboratório de Circuitos Lógicos
Introdução a circuitos lógicos
Verificação MO801/MC912.
Dicas de Circuitos MO801/MC912.
VHDL (outros tópicos) MO801/MC912.
MC542 Organização de Computadores Teoria e Prática
MC542 Organização de Computadores Teoria e Prática
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
Semana de Engenharia Introdução a Linguagem de Descrição de HW VHDL a ao Projeto Baseado em Lógica de Programação ALTERA Prof. Daniel Barros Júnior
Co-simulação Régio Michelin.
Library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all;
Tipos de dados Existentes BIT - {0, 1} BIT_VECTOR - {vetor de BIT}
VERILOG HDL (HARDWARE DESCRIPTION LANGUAGE)
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Construção sequencial CASE WHEN
Hardware Description Language (HDL)
Fundamentos de Eletrônica Digital
Máquina de Estados Finito
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Verificação por Simulação Circuitos Descritos.
Circuitos Seqüenciais Descrição VHDL
GAPH Integração de Hardware do usuário com o Microblaze através de Interrupção Leandro Heleno Möller Rafael Iankowski Soares Ricardo.
Instanciação em VHDL.
EPUSP – PTC Guido Stolfi
Antonyus Pyetro Infra-estrutura de Hardware – IF674
Dispositivos Lógicos Programáveis (DLP) Ideia e Arquiteturas
Placa de prototipação H.O.T. II
Validação de VHDL: técnicas e ferramentas
Tutorial EDK – Embedded Development Kit
Circuitos Seqüenciais Contadores
Projeto de um Comparador Descrição Estrutural x Comportamental
Test-bench para Somador
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Projeto de Somador com e sem Sinal Descrição.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Diagramas de Blocos e Descrição VHDL
Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA)
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Memórias.
Ney Laert Vilar Calazans
MO801 - Tópicos em Arquitetura e Hardware Michele Tamberlini 05/2006
Descrição de Circuitos Combinacionais em VHDL
Projetos Grandes MO801/MC912. Roteiro Componentes Configurações Instanciação múltipla Instanciação condicional Atenção: Os exemplos são cumulativos.
Hardware Description Language Aula 4 –VHDL (introdução)
Hardware Description Language Aula 5 -VHDL Prof. Afonso Ferreira Miguel, MSc.
Hardware Description Language Aula 9 –Verilog HDL Prof. Afonso Ferreira Miguel, MSc.
Transformada Rápida de Fourier para FPGA
Sistemas Digitais Somadores.
Projetando Sistemas Digitais com SystemVerilog
Prof. Anderson Royes Terroso Abril, 2000.
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno Exercícios Circuitos Descritos em VHDL.
Introdução a VHDL Monitoria de Digitais.
Introdução ao VHDL João M. P. Cardoso.
VERILOG.
Introdução aos Testes MO801/MC912. Motivação Aspectos iniciais de testabilidade são necessários antes de criar módulos maiores Como executar código VHDL?
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
Introdução à Programação da FPGA
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Transcrição da apresentação:

FLI – ModelSim Pedro Velho

FLI - ModelSim Motivações Módulos descritos em alto-nível Simulação por scripts Teste de hardware Co-simulação

FLI – ModelSim Wrapper para teste do hardware Hardware a ser testado

FLI - ModelSim Características: Call backs Interface direta com o simulador Programa objeto somente substitui o comportamento do módulo, a entity deve ser escrita em VHDL

FLI - ModelSim Exemplo: Modulo de entrada em C, lê do arquivo e gera estimulos Modulo somador em VHDL recebe dois inteiros de 8 bits, e escreve a soma em sua saída Modulo de saída em C, lê a soma efetuada pelo somador e escreve em um arquivo

FLI – ModelSim Test Bench somador FILE C Input Somador VHDL FILE C output

FLI – ModelSim Arquitetura exemplo: VHDL (4 arquivos) C (2 arquivos)

FLI – ModelSim Arquivos VHDL: Somador de 8 bits Módulo input Módulo output TB

FLI – ModelSim Somador de 8 bits -- -- created by Pedro Velho library ieee; use ieee.std_logic_1164.all; use IEEE.Std_Logic_unsigned.all; entity adder is port( a: in std_logic_vector(7 downto 0); b: in std_logic_vector(7 downto 0); sum: out std_logic_vector(7 downto 0)); end adder; architecture adder of adder is begin sum <= a + b;

FLI - ModelSim Modulo input: -- written by Pedro Velho -- 26/08/2002 -------------------------------- -- A simple foreign archtecture library ieee; use ieee.std_logic_1164.all; entity c_input_module is port( clk : in std_logic; rst : in std_logic; a: out std_logic_vector(7 downto 0); b: out std_logic_vector(7 downto 0)); end c_input_module; architecture c_input_module of c_input_module is attribute foreign: string; attribute foreign of c_input_module: architecture is "c_input_module_init c_input_module.so"; begin

FLI - ModelSim Modulo output: -- written by Pedro Velho -- 26/08/2002 -------------------------------- -- A simple foreign archtecture library ieee; use ieee.std_logic_1164.all; entity c_output_module is port( clk : in std_logic; rst : in std_logic; result: in std_logic_vector(7 downto 0)); end c_output_module; architecture c_output_module of c_output_module is attribute foreign: string; attribute foreign of c_output_module: architecture is "c_output_module_init c_output_module.so"; begin

FLI - ModelSim Fontes em C: Compilando: Arquivos utilizados: Makefile Bibliotecas: mti.h, conv_mvl9.h Arquivo objeto da biblioteca: conv_mvl9.o

FLI - ModelSim C modulo input Inicialização Processo Criação de sinais e processos Lista de sensitividade Leitura de arquivo Processo Converção de tipos (nativos do simulador -> characteres lógicos)

FLI – ModelSim C modulo output Inicialização Detalhe Criação processo Definição da lista de sensitividade Criação de pinos e sinais de entrada e saída Detalhe Call back deve fechar o arquivo(fclose)

FLI – ModelSim Referência Manual de referência FLI Tutorial de FLI do gaph: http://www.inf.pucrs.br/~gaph/tutorials.html