Hardware Description Language Aula 4 -VHDL Prof. Afonso Ferreira Miguel, MSc.

Slides:



Advertisements
Apresentações semelhantes
Aula 3 – Transmissão Digital
Advertisements

Organização e Arquitetura de Computadores I Introdução
1 Hardware Description Language (HDL) Para quê precisamos de uma Linguagem de Descrição de Hardware ? Modelar, Representar e simular hardware digital Concorrência.
Máquinas de Estado Sistemas Digitais.
Sistemas Digitais Projeto RTL – Unidade de Controle
Circuitos Lógicos e Organização de Computadores Capítulo 8 –Circuitos Seqüenciais Síncronos Ricardo Pannain
Circuitos básicos e representação em VHDL
Ney Laert Vilar Calazans
Máquinas de Estado Eletrônica Digital.
Introdução aos Sistemas Digitais
Unidades de Execução e de Controle Sistemas Digitais.
Lógica reconfigurável por hardware
Organização e Arquitetura de Computadores I Parte de Controle
Algoritmos Escher.
Sistemas Digitais Microprocessados
Contadores Digitais.
Análise de Circuitos Sequenciais Síncronos
VERILOG HDL (HARDWARE DESCRIPTION LANGUAGE)
Máquina de Estados Uma máquina de estados finitos ou autômato finito é uma modelagem de um comportamento composto por estados, transições e ações Um estado.
Modelagem de Sistemas com VHDL
Eletrônica Digital Projeto de Circuitos Combinacionais
Aplicações com FPGA Aula 10
Técnica de modelagem de Máquina de Estados em VHDL
Índice Sumário Implementação de Contadores Implementação de contadores
Circuitos Combinacionais Básicos Descrição VHDL
UTFPR – Prof. Carlos Raimundo Erig Lima. .….… STD_LOGIC (equivalente ao BIT) STD_LOGIC_VECTOR (equivalente ao BIT_VECTOR)
Índice SUMÁRIO Introdução ao projeto de lógica seqüencial.
Engenharia de Software para Computação Embarcada
Antonyus Pyetro Infra-estrutura de Hardware – IF674
Antonyus Pyetro Infra-estrutura de Hardware – IF674
Lógica reconfigurável por hardware
Fundamentos de Circuitos Sequenciais Modelos de Mealy e Moore
Projeto de um Comparador Descrição Estrutural x Comportamental
Circuitos Seqüenciais
Exercícios de Máquinas de Estado
AUTOMAÇÃO INDUSTRIAL.
ENGA78 – Síntese de Circuitos Digitais
Hardware Description Language Aula 6 -VHDL Prof. Afonso Ferreira Miguel, MSc.
Hardware Description Language Aula 3 – AHDL (continuação)
Hardware Description Language Aula 4 -VHDL
Circuitos Sequenciais
Hardware - Software UC: Organização e Arquitetura de Computadores
Eletrônica Digital II ELT013
SISTEMAS DIGITAIS AULA 6 Prof. José Bezerra de Menezes Filho CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DA PARAÍBA DA PARAÍBA.
Circuitos Combinacionais Básicos Descrição VHDL
Hardware Description Language Aula 8 –Verilog HDL
Hardware Description Language Aula 4 –VHDL (introdução)
Hardware Description Language Aula 3 – AHDL (conclusão) Prof. Afonso Ferreira Miguel, MSc.
Hardware Description Language Aula 5 -VHDL Prof. Afonso Ferreira Miguel, MSc.
Hardware Description Language Aula 9 –Verilog HDL Prof. Afonso Ferreira Miguel, MSc.
2a Aula Pratica Dispositivos Programáveis Roteador 2E-2S e Modularização.
Diagrama de estado ? Exemplo:
SDI SERIAL DIGITAL INTERFACE. REVISÃO DA NORMA CCIR-601.
Aula 1 Eletrônica Digital Codificadores/Decodificadores e Multiplexadores/Demultiplexadores Prof. Wanderley.
Prof. Msc. Ricardo S. Casado
Arquitetura de Microcomputadores
Eletrônica Digital II ELT013
Arquitetura de computadores
Máquinas de Estados Finitos (cont)
Latches e Flip-Flops (1/2)
Introdução às Máquinas de Estados Finitos (Finite State Machine - FSM)
Registradores Prof.: José Mauricio Neto
Exercício: Trazer próxima aula
Cap. V – Análise e Síntese de Circuitos Sequenciais Síncronos
Lei de Moore O número de transistores num circuito integrado duplica todos os 18 meses. Isto é extremamente relevante porque... as gates são feitas a partir.
Circuitos Lógicos Sequenciais
Lógica Programável e VHDL Prof. Marcio Cunha Aula 05 – Projeto RTL.
Projeto de Circuitos Integrados Semidedicados Prof. Marcio Cunha Aula 04 – Linguagem de Descrição de Hardware: VHDL.
Lógica Programável e VHDL Prof. Marcio Cunha Aula 04 – Projeto de Máquina de Estados.
Lógica Programável e VHDL
Transcrição da apresentação:

Hardware Description Language Aula 4 -VHDL Prof. Afonso Ferreira Miguel, MSc

VHDL Máquinas de estado de MOORE e0/0 e1/ Definição dos estados

VHDL Máquinas de estado de MOORE e0/0 e1/ Definição das transições

VHDL Máquinas de estado e0/0 e1/ Definição dos valores de saída

Exercício 1 –Implementar em VHDL uma máquina de estados (Moore) que realize a função de um detector de 3 uns consecutivos não reaproveitando os valores de uma seqüência previamente detectada.

Exercício 2 –Implementar em VHDL uma máquina de estado de MOORE com 4 bits de entrada (+ o clock) e 1 bit de saída. O bit de saída inicialmente deve apresentar o valor ZERO, e deve ir para UM quando receber na entrada o valor 15. Caso ele receba a seqüência 7, 4, 3 a máquina deve voltar ao seu estado inicial ZERO.